PROBLEMA VHDL. El comportamiento de un circuito multiplexor (o MUX) de 4 entradas se describe a continuación:

PONTIFICIA UNIVERSIDAD CATÓLICA DEL PERÚ ESTUDIOS GENERALES CIENCIAS DANIEL LLAMOCCA PROBLEMA VHDL LAB. Nº: 2 HORARIO: H-441 FECHA: 22/09/2005 E

1 downloads 129 Views 102KB Size

Recommend Stories


Circuito multiplexor de dos canales
Circuitos. Tren de impulsos. Tabla verdad. Demultiplexor. Entradas, canales

VIDEOGRABADORA DIGITAL H264 DE 4 ENTRADAS ART
VIDEOGRABADORA DIGITAL H264 DE 4 ENTRADAS ART. 49804 Lea este manual del usuario atentamente para asegurarse de que puede utilizar el dispositivo de

ÍNDICE ÍNDICE 1. ELEMENTOS DE UN CIRCUITO ELÉCTRICO. 1. Elementos de un circuito eléctrico. 1. Elementos de un circuito eléctrico
2 ÍNDICE 1. ELEMENTOS DE UN CIRCUITO ELÉCTRICO 1.1 ¿Qué es la corriente eléctrica? 1.2 ¿Qué tipos de corriente hay? 1.3 ¿Para qué sirve una fuente de

2 Describe. a una persona
2 Describe a una persona Los rasgos del rostro de una persona son las letras del alma. Si te detienes a observarlos y aprendes a describirlos con pre

Story Transcript

PONTIFICIA UNIVERSIDAD CATÓLICA DEL PERÚ ESTUDIOS GENERALES CIENCIAS

DANIEL LLAMOCCA

PROBLEMA VHDL LAB. Nº: 2

HORARIO:

H-441

FECHA: 22/09/2005

El comportamiento de un circuito multiplexor (o MUX) de 4 entradas se describe a continuación: De las 4 entradas, sólo se deja pasar una de ellas, la decisión de qué señal se deja pasar se hace en base a un selector de 2 bits, de la siguiente forma: MUX A

0

B C

1 2

D = '0'

3

F 2

S1 S0

F

0

0

A

0

1

B

1

0

C

1

1

0

S

Observación: Note que en este caso en particular la señal ‘D’ vale ‘0’, lo que le será útil para reducir el circuito. Se le pide:   

Diseñe el circuito digital (use la tabla de verdad rápida para obtener la ecuación booleana), y dibújelo en la página de la Hoja de Trabajo titulada ‘Problema 3 (VHDL): Bosquejo del problema’. Describa el circuito resultante mediante la descripción horizontal en VHDL. Luego compílelo en forma Funcional. Simule su circuito con las entradas de excitación como se muestran a continuación. La salida debe comportarse en forma idéntica a la mostrada. Use los parámetros ‘End Time’ y ‘Grid Size’ de valores razonables. a b c S1 S0 f

 

IEE146

Dibuje el diagrama de conexiones (o diagrama eléctrico) de su circuito en la Hoja de Trabajo. Implemente el circuito en el protoboard.

LABORATORIO DE CIRCUITOS DIGITALES

2005 - II

PONTIFICIA UNIVERSIDAD CATÓLICA DEL PERÚ ESTUDIOS GENERALES CIENCIAS

DANIEL LLAMOCCA

PROBLEMA VHDL LAB. Nº: 2

HORARIO:

H-442

FECHA: 19/09/2005

Se muestra el diagrama de tiempos de un circuito cuyas salidas ‘f’ y ‘g’ se comportan según el siguiente diagrama de tiempos:

a b c f g

Se le pide:

    

IEE146

Diseñe el circuito digital (reduzca el circuito mediante mapas de Karnaugh) y dibújelo en la página de la Hoja de Trabajo titulada ‘Problema 3 (VHDL): Bosquejo del problema’. Describa el circuito resultante mediante la descripción horizontal en VHDL. Luego compílelo en forma Funcional. Simule su circuito con las entradas de excitación adecuadas. Use los parámetros ‘End Time’ y ‘Grid Size’ de valores razonables. Dibuje el diagrama de conexiones (o diagrama eléctrico) de su circuito en la Hoja de Trabajo. Implemente el circuito en el protoboard.

LABORATORIO DE CIRCUITOS DIGITALES

2005 - II

PONTIFICIA UNIVERSIDAD CATÓLICA DEL PERÚ ESTUDIOS GENERALES CIENCIAS

DANIEL LLAMOCCA

PROBLEMA VHDL LAB. Nº: 2

HORARIO:

H-443

FECHA: 21/09/2005

Diseñar un circuito que detecte si un número de 4 bits pertenece a la siguiente lista: 2,3, 8, 12, en cuyo caso debe hacer que f = 1, o si un número es impar, en cuyo caso debe hacer g = 1. A3 A2 A1 A0

f

?

g

Se le pide:

    

IEE146

Diseñe el circuito digital (reduzca el circuito mediante mapas de Karnaugh) y dibújelo en la página de la Hoja de Trabajo titulada ‘Problema 3 (VHDL): Bosquejo del problema’. Describa el circuito resultante mediante la descripción horizontal en VHDL. Luego compílelo en forma Funcional. Simule su circuito con las entradas de excitación adecuadas. Use los parámetros ‘End Time’ y ‘Grid Size’ de valores razonables. Dibuje el diagrama de conexiones (o diagrama eléctrico) de su circuito en la Hoja de Trabajo. Implemente el circuito en el protoboard.

LABORATORIO DE CIRCUITOS DIGITALES

2005 - II

PONTIFICIA UNIVERSIDAD CATÓLICA DEL PERÚ ESTUDIOS GENERALES CIENCIAS

DANIEL LLAMOCCA

PROBLEMA VHDL LAB. Nº: 2

H-444

HORARIO:

FECHA: 29/09/2005

Implemente un circuito que encienda un LED (activo en baja) cuando el valor de 4 bits ingresado en A es igual al valor de 4 bits ingresado en B. +5v

A B

4 4

?

f

Se le pide:

    

IEE146

Diseñe el circuito digital y dibújelo en la página de la Hoja de Trabajo titulada ‘Problema 3 (VHDL): Bosquejo del problema’. Describa el circuito resultante mediante la descripción horizontal en VHDL. Luego compílelo en forma Funcional. Simule su circuito con las entradas de excitación adecuadas. Use los parámetros ‘End Time’ y ‘Grid Size’ de valores razonables. Dibuje el diagrama de conexiones (o diagrama eléctrico) de su circuito en la Hoja de Trabajo. Implemente el circuito en el protoboard.

LABORATORIO DE CIRCUITOS DIGITALES

2005 - II

PONTIFICIA UNIVERSIDAD CATÓLICA DEL PERÚ ESTUDIOS GENERALES CIENCIAS

DANIEL LLAMOCCA

PROBLEMA VHDL LAB. Nº: 2

H-445

HORARIO:

FECHA: 28/09/2005

Apertura y Cierre de 3 válvulas: Se tienen 3 tanques de agua. Cada tanque posee un sensor (A, B y C). Si el sensor se encuentra sumergido, el sensor arroja un ‘1’ lógico, en caso contrario, ‘0’ lógico. Además se tienen 3 válvulas a controlar (V1, V2 y V3). Estas válvulas se abren cuando se les envía un ‘1’ lógico, y se cierran cuando se les envía un ‘0’ lógico. Se desea que los tanques siempre estén llenos, sin que el agua se derrame. Además, los tanques deben llenarse de la forma más rápida posible. * Considere que el flujo de agua a la entrada de un tanque es exactamente igual al flujo de agua a la salida de un tanque. * ‘V4’ se controla en forma manual. Si el usuario quiere agua, abrirá la válvula. V1 V1

A A

?

B

V2

C

B

V2 V3

V3

C

V4

Se le pide:

    

IEE146

Diseñe el circuito digital (‘?’) que controla la apertura/cierre de V1, V2 y V3. Luego, dibújelo en la página de la Hoja de Trabajo titulada ‘Problema 3 (VHDL): Bosquejo del problema’. Describa el circuito resultante mediante la descripción horizontal en VHDL. Luego compílelo en forma Funcional. Simule su circuito con las entradas de excitación adecuadas. Use los parámetros ‘End Time’ y ‘Grid Size’ de valores razonables. Dibuje el diagrama de conexiones (o diagrama eléctrico) de su circuito en la Hoja de Trabajo. Implemente el circuito en el protoboard.

LABORATORIO DE CIRCUITOS DIGITALES

2005 - II

PONTIFICIA UNIVERSIDAD CATÓLICA DEL PERÚ ESTUDIOS GENERALES CIENCIAS

DANIEL LLAMOCCA

PROBLEMA VHDL LAB. Nº: 2

H-446

HORARIO:

FECHA: 20/09/2005

Se requiere un circuito que verifique el funcionamiento de una compuerta AND de 3 entradas, y si la compuerta está funcionando bien, el LED debe encenderse. a b c

x

?

f

Se le pide:

    

IEE146

Diseñe el circuito digital (reduzca el circuito mediante mapas de Karnaugh) y dibújelo en la página de la Hoja de Trabajo titulada ‘Problema 3 (VHDL): Bosquejo del problema’. Describa el circuito resultante mediante la descripción horizontal en VHDL. Luego compílelo en forma Funcional. Simule su circuito con las entradas de excitación adecuadas. Use los parámetros ‘End Time’ y ‘Grid Size’ de valores razonables. Dibuje el diagrama de conexiones (o diagrama eléctrico) de su circuito en la Hoja de Trabajo. Implemente el circuito en el protoboard.

LABORATORIO DE CIRCUITOS DIGITALES

2005 - II

Get in touch

Social

© Copyright 2013 - 2024 MYDOKUMENT.COM - All rights reserved.