ARENILLA LOPEZ JOSE VICTOR. GALLARDO RANGEL JOSE ANGEL. JUVERA MORENO FRANCISCO MARTIN. MEXICO D.F. 18 DE OCTUBRE DE 1989

U N I V E R S I D A D A U T O N O M A M E T R O P O L I T A N A I Z T A P A L A P A P R O Y E C T O I N G E N I E R I A E L E C T O N I C A D E /

0 downloads 61 Views 2MB Size

Story Transcript

U N I V E R S I D A D A U T O N O M A M E T R O P O L I T A N A I Z T A P A L A P A

P R O Y E C T O

I N G E N I E R I A E L E C T O N I C A

D E

/ G R A F I C A D O R

X Y I

C O N I N T E R F A C P E A R CA O M U N I C A R S E C O NL A

P C

A S E S O R : A L E J A N D R O M A R T I N E Z G O N Z A L E Z

I N T E G R A N T E S :

cARENILLA

LOPEZ JOSE VICTOR.

GALLARDO RANGEL JOSE ANGEL. JUVERA MORENO FRANCISCO MARTIN.

MEXICO

D.F. 18 DE OCTUBRE

DE

1989

I N D I C E

17

CAPITULO

4

HARDWARE

.........................

4.1.-

INTRODUCCION

4.2.-

CIRCUITO DE PRUEBA

4.3.-

MICROCONTROLADOR

-------------------

25

---------------

33

---------------------------

33

EVENTOS0TEMPORIZADORS

33

EL 8031

34

--------------

34

8031

4.3.1.-

ARQUITECTURA DEL

4.3.2.-

FUNCIONES PERIFERICAS

4.3.3.-

CONTADORES

4.3.4.-

ORaANIZACION

4.8.4.1

.-

DE

MEMORIA DE

24

8031

DE LA MEMORIA PROURAMA

EN

33

4.3.4.2.- MEMORIA DE DATOS 4.3.4.3.- P . S . W .

""""~""""~"-

4.3.5.- COMUNICACION SERIAL 4.3.6.- BAUD RATE

----------------" " "

----------------

..........................

4.4.- INTERFACE PROORAMABLE PARA

36 87

38 43

I N T R O D U C C I O N

Todo comenzd c o ind e avsa g a sc,o n f u s a s veces.

Bueno

teniamos

ya

construccidn

,

g r A f idceo s

es

muy

Es

colores.

este

es un

utilizado

em

,,la confusibn

no+oria

que

y

este.

iniciar

maS

los

'

A p a r t i r de la o b s e r v a c i 6 n e i n v e s t i g a c i b n

irrformacidnposible. sistema

poca

la

cualesquier t i p o y empaparnos con la mayor

p l o t t e rps o s i b l e sd, e

el

un

hace

prime pra sf u ooeb s e r v a f irs i c a m e nt o edos

el

la

a p a r a t o que

informacibn que s o b r e el mismo p r o y e c t o se tenia al

Por lo

es

industria,

la

a

ser&

Que

pero surge la p r e g u n t a

p l o t t e r 7 , las r e s p u e s t a s no se h a c e n esperar; sirv pea r ga raficar

contradictorias

tarminal

proyecto

el

de un p l o t t a r ,

y

rentable,

tomando

ecn onsideraci6n

la

economia,

maniobrabilidad y e f e c t i v i d a d . El

&rea

trabajo

mecanica,

se

tres

d i v i d ie6n

electr6nica

&rea

ias

frreas

y

Area

el

cuales

: el

eran

programacibn,

de

a t a c a n d o s e estas e n ese orden. a*

un ingeniero

El Area mecanica s i m p r es e t u v os u p e r v i s a d ap o r mec-ico,

el

cual

aporto

mecanicas que conforman que f u e r o n a dar

muchos el

a

de

los

diseflos

p l o t t eD r .e s p u e dse

La b a s u r a , p o rc. o n s e n s o

de

la

algunos

piezas diseKos

se eligio el que mAs

se a c e r c a b a a n u e s t r a sp r e t e n c i o n e s . Lp a a r teel e c t r b n i c a 8031

al

cual

El

hardware. seleccionar ya

se

de

hace

referencia

primer escollo

los motores

los m o t o r ecso n

tarea

fu& a b a s t e c i dp ao r

conocer

que de paso

en

debi6 que

el

ser

ibamos

el

capitulo a

caracteristicas

- 1 -

el

utilizar.

nos

al

dedicado

superad fu o6

los cuales se iba a trabajar las

microprocesador

Al

de

tener

dimos a la

mAs . i m p o r t a n t ea s plichdoles

toda clase de pruebas.

Por hizo

último

un

todo

Uegb

estudio

buscfvrdose

comerciales, a p l i cpaarr a

nuestro

capitulo dedicado

al

momento

el del

los

d i f e r e n t e s programas,

software

la

<

ver

donde

se

programacibn,

utilizado

algoritmos

proyecto

software ¿e

de

que anexos

en

los

aqui

plotters

f u efraacnt i b l e s

>.

Hay

todo

explica detalladamente

~ ~ ~ i e n g u de a j en i v e l medio

nivel.

.. ,e

-2-

se

un

los y

alto

C A P I T U L O

P

L

O

T

T

l.

E

R

S

1.1.-INTRODUCCION Los

p l o t t esro sdn ispositive o lse c t r o m e c a t l i c o s

inteligente que producen variedad

una

medios,

de

grAfica

plotter

el

de

medio cualquier disePlo, f i g u r a o

una

sobre

manejado

robot

por

el papel o algún otro

es d i b u j a rs o b r e

computadora cuyo prop6sit.o

calidad

alta

un

es

salida

de

han s i d o

patr6n c u y op s ar-etros

incorporados a traves de un p r o g r a m af u e n t e . el p l o t t e r de un conjuntode

E s t ep r o g r a m af u e n t ea b a s t e c e instrucciones fhcfles

de

y

anl itvoe l ,

de

para aquellos

usar

usuarios

e x p e r i el n c eo n cn igaud ae jes inexperto que

el

un

poco

f a b r i c a n td ep e l o t t e res n

donde

se

incluye

,

el

manual

software

HP-QL e s

l e n g u a j eg r s i c o

pluma

levantada

hacia a b a j o

>

y

>. PA

tales

1.2.-CLASES Los

un

El

como PD

es u t i l i z a d oe nt o d o s

ejemplo de

este

lenguaje,

PU, que significa Pen

significa

s i g n i f i cP a l oAt b s o l u t e

C

Up

Down

Pen

esto

las coordenadas x-y

la pluma al p u n t oe s p e c i f i c a d op o r

se

dispositivo

que maneja dicho plotter.

Como

Analogamente x,y

un

dfe u n c i o n a m i e n tdoe pll o t t e r

el rrrb: poderoso y

los p i o t t e rHse w l e t t - P a c k a r d . algunos comandos utilizados

usuario

el

la compra de

un

alguna

tyiae n e n

complicado, pero no determinante ya

mAs

est& comprando tambikn

que

nivel. Para

alto

seria

son

n e m 6 n iecsotsr u c t u r a d o s ,

C

C

pluma

es,

mueve

>.

D E P L O T T E R S

plotters los podemos

dividir de acuerdo

al

tamaf5o

medio y e n base a s u sistema meciníco. D e acuerdo al tamaf5o del

- 3 -

del

tres

medio e x i s t e n

c a t e g o r i adspel o t t e r s

: formato

cual trabaja con h o j a s de tamaf50 ANSI-A C y D; y

y B; f o r m a t o medio,

de

tamaPIo

8

11

por

pulgadas.

Las

dimensi6n

m&s peque-

para

E.

f o r m a tgor a n dpea r a

L a s letras se r e f i e r e n a designaciones de es

pequeKo, e l

letras

pulgadas,

se

sucesivas

y

el

tomando

ANSI

tamaKo

es

B

11

de

: A

por

determinan doblando dimensibn

como

17

la corta

mfrs

la

dimensibn mas grande de la m e d i d a a n t e r i o r , esto es, para C seria 17 p o r

<

22.

Las normas

ANSI son dictaminadas por el organismo IS0

I n t e r n a t i o n a l Standars O r g a n i z a t i o n

>.

Por s u mecanismo los podemos d i v i d i re n

<

: 1) FJat-bed

Friction-Roller

cama plana

<

>,2>

Drum

<

tres los cuales son

>.

Rodamiento con friccidn

A

conoce Cambien

corno Roller-bed. Nosotros tomaremos

Friction-Roller.

A

continuaci6n

Damos

>

Tambor o cilindro

una

este

rfltirno el

y se

3>

le

nombre de

b r edve es c r i p c i d n

de

estos. 1) Flat-bed.

El ejes. El c&ro

movimiento de

que

se

hacia a r r i b a y

e n c u e n t rean

el

del

en

ambos

medio. El portaplumas

abajo del medio v i a extremo

del

m u e s t r a la f i g u r a l.

figura 1. Un t i p i c op l o t t e rP l a t - b e d

- 4 -

ocurre

c o n la pluma es contmolado para ambos ejes

X y Y a t r a v e s d e la s u p e r f i c i e se mueve

pluma

la

-

"

- ." ~-"-

carro

un solenoide tal

como

lo

.

2)

Drum. El

estrecha,

longitud

cnrollari

el

cilindro puede

Drum

plotter

medio

ser

a lo largo del e*

cual

el

un

y

consta

ser& la carro

.

cilindro

superficie

donde

se

ir&

pluma.

El

donde

la

El carro que s o s t i e n e la pluma

es mucho mfrs sencillo como se p u e d ea p r e c i a re n

2,

ya

que

solamente

hacia abajoconforme

de

suministrar un movimiento

r o t a dp oa r a

x o y

un

de

requiere moverse

hacia

la f i g u r a

los l a d o s

el c i l i n d r or o t ep o rd e b a j o

y

de 6 s t e .

f i g u r a 2. Un compacto p l o t t e r Drum.

3> Friction-Roller.

El adaptaci6n

elegante

plotter de

la

Como lo muestra la f i g u r a 3.

- 5 -

Friction-Roller

Lecnologia

del

es

plotter

una drum.

f i g u r a 3. Un t i p i c op l o t t e rF r i c t i o n - R o i l e r .

Esta

con

un

recorre

par toda

interactuando

de

clase

dpel o t t e r

esti

r u e dcausb i e r tcaaosbnr a s i v o ,

la

esta

l o npgld ioteu tltde r

con el medio,

y

sujetando

equipada

a

B s tceo n

estarotras

ruedas de goma o p l a s t i c 0 para que el medio pueda ser movido

tanto

hacia

atras

como

hacia

adelante m, i e n t r a s

que el portaplumas s e mueve a los lados por encima d e l medio.

De acuerdo a s u sistema mecAnico cada t i p o

de

p l o t t e tri e n e

sus v e n t a j a s y d e s v e n t a j a s uno con r e s p e c t o al o t r o . Ventajas :

F l a t - bed. a> Tiene mayor número d e plumas.

b> S o ns i l e n c i o s o s . c> FAciles de cuidar y mantener.

- 6 -

Drum. a> Menos espacio.

b> P o c a sp a r t e se nm o v i m i e n t o . c> B G s econ6micos.

d> Fdcilesdemantener.

Friction-Roller.

a> Menos espacio.

b> P o c a sp a r t e se nm o v i m i e n t o . c>

MAS

econ6rnicos.

d> F L c i l e s de mantener. e>

Varias dimensiones de medios pueden ser usadas.

f> Muy rApidos. Desventajas :

Flat-bed. a>

Sus dimensiones s o n grandes.

b> Cuesta trabajo manipularios. e> Tienen m u c h a s p a r t e se n

a>

Dibujan

sobre

un

movimiento.

medio

de

dimensibn

fija. Friction-Roller.

a:? Un

ezzpacio

debe

zer

el movimiento del papel.

- 7 -

suministrado

para

Exisben plotters.

ciertas

Si

hace

una

tecnicas

especificaciones compra de

plotter

un

acuerdo

de

necesidades que nosotros tangamos se p o d r i ae s c o g e r

Estas importante

es

el

movimiento

maS

cualquier direccibn, c u adle s c r i b e

el

programaci6n

del

especificaci6n

las

esta

ellas es

pequePz0

que

difie1.e

de

movimiento

dos

hay

m . &

tipo dse

es

realizar

en

resoluci6n direccionable,

la

c o r tpoe r m i t i d o

La

resoluci6n

significativa;

b

que

puede

mAs

usuario.

m-

plotter

las

a

La

:

la r e s o l u c i 6m n ecfica, un

los

el adecuado.

siguientes

resoluci6n. Dentro de

la

la primera de

resoluci6n,

son

especificaciones

para

a

la

trav6s

de es

direccionable

movimientos de

los

la

la

tales

incrementos pequefios usualmente serian dictaminados por software.

los

Una

alta

p l o t tseor p s ortan

miltmetros. Ejem. anuncian es,

resoluci6n

una

una

El

Calcomp

realza

consistencia.

la

1023

alta,

que

y

el

dos

M3 DPX

Roland

veces

de

Muchos

resoluci6n direccionable de

Artisan

mds

resoluci6n

el

0.025 2000

normal e s t o

la

0.0125 m m . especificaci6n

Otra

r e g r e sfoi e dle

la

normal es de

La

0.1

como

Las

plumas,

m a n e r as i g n i f i c a t i v a

la

p l o t t e resn

que

punto de partida.

al

se

mide

Una

como

el

repetibilidad

mm.

d iecxea c t a m e n t e

En

pluma

p r e s i c i bdl e f i n e

preescrito.

la r e v e t i b i l i d a d

es

un el

e x a c t i t ud de

los p l o t t e r sE. s t a

p l o t tm e ro v e r i a medio

y

la

la

humedad

pluma

pueden

al

nos

punto

afectar

de

la p r e s i c i 6 nd e lp l o t t e r .

siguiente

la cual s e

d e bie nn teresar

La

al

tabla

se

muestran

d i f e r e n tm e sa r c a s

especificad n i f e r e n t ecsa r a c t e r í s t i c a s

usuario,

tales

repetibilidad, e t c .

- 8 -

como

el

costo,

de que

resolucic5n,

1.4.- S O F T W A R E. Actualmente el software

act\e-L forma dr\...--"-.

desarrollar

Si

su

uno no tiene

propio

softyare

escoger entre

plotters

el

que

se

el

para

,

perder el tiempo podemos

graficas

de

y

docenas de

se

ha

tiempo o la

sabesyaque

adecue nuestras a

incUnaci6n

o

el plotter, paquetes

incrementado en no

si

existe, de

quiere

nosotros

software

necesidades. Un

para

para

ejemplo

de

paquetes seria el Robographics CAD-l.

En base a

lo

anterior podemos afirmar que elplotteres

dispositivo imprescindible y se quiere adquirir

Todo

esto

dibujos

de

basado

alta

que debe d e ser tomado e n cuenta si

un periferico en

las

calidad,

un

como compaKero

ventajas

gran

que

este

variedad

multicolores etc.

de

de

la

impresora.

ofrece, tales

como,

grsicas,

grAficas ' I

- 9 -

C A P I T U L O

2 P A S O

M O T O R E S P A S O A 2.1.-INTRODUCCION Los m o t o r e s

PASOAPASO

transformar

dispositiv co ap s ad ces

a

mec&nica.

E s ttei pdo m e otor,

bastante

antigua, unicamente

p a r t idre l

como cualquier motor e l k t r i c o s o n

momento

en

que

a

el6ctrica

una

p e s adtree n e r

podido

de

concepci6n

semiconductores

y

p e r m idt e i dsl o a r rc od ilrleo c ua id tcoe ts uaci6n

las

definitiva,

aplicaciones fundamentales de las

m d eo tsoo arq n u e l lean s p o s i c i o n a m i e n tsoe g u r o

y

que

control

un

precisa que

soluciona

croenl a t isvean c i l loetzrcoasseons

e x i g e ncceu inaa n t o

a

sistema

recurrir

a

otros

que

la

velocidad accionamiento de discontinuos

a de

de

Ademfrs los

exigirian complicados montajes

sistemas

tipo

este

complejos servomecanismos. tipo del de

mas

a

se

f i a b lseitne n e r

sistemas

asociados

ha

y adecuados.

s u f i c i e n t e m e n t er A p i d o s En

en

la p r k t i c a a

e m p l e a r seen

tecnologfa

la

energia

la

frenado

base m do et o o r er sd i n a r i o s de

gran

y

seguridad

de

muy

problematica e j e c u c i 6 n p r A c t i c a .

de motores PASOA

L o g i c a m e n t ee x i s t e nn u m e r o s o st i p o s p acruab r i r

esta

amplia gama aplicaciones de entre

e x i s t edni f e r e n c i aecsnu a n t o ademfis

de

las

adecuadospara

El

a

los

PASO

que

su principio de funcionamiento,

l 6 g i cdaesr i v a d a s

del

tamaPlo

y

potencia

rnas

cada c a s oc o n c r e t o .

princtpio de funcionamiento

esta

basado

en

un

estator

constituido par varios arrollamientos independient-es devanados sobre

un

m a t e r ifaelr r o m a g n & t i c o

librementeen

y

e1 s e n od e le s t a t o r . .

-

10

-

un

rotor

que

puede

girar

Estos

difere bn otbea isn lsiam odneon stados

continuaci6n

se

que

angular

otro

del

PASO

motor. El

determinado desplazamiento y

ANQULAR

sentido

s e c uq en u ec e ni a

la

un

causan

denomina

c a r a c t e r i s t i cdae l

por

y

a

uno

principal

la

estara

rotaci6n

de

han

se

es

que

excitado

definido

diferentes

los

arrollamientos.

2.2.-T I P O S E x i s td e tn oisp o s primero de

b+sicos de funciona por

ellos

p r o d u c ee n t r e

motores PASO efecto

el

A

PASO.

El

que

se

reacci6n

de

e l e c t r o m a g n & t i c o y un i m A n permanente;por

un campo

este motivo se le &nomina MOTOR PASO A PASO DE IMAN PERMANENTE.

segundo

El

funciona

mediante

un roLor

electromagnetico sobre

a cdcei 6 n

la

de

dulce,

hierro

un magnetismo remanente

s a b i d op,r e s e n t a

campo

un

muy

el c d ,

debil. A

como es

este

tipo

se le conoce como MOTOR PASO A PASO DE RELUCTANCIA VARIABLE. En ambos casos se distribuya

que

la

p r e c i s a de tm

alimentaci6n en

a r r o l l a m i e n t o s del estator, de excitado

consecuencia, o

continua

el

sistema

forma

o

e q u i pe ox t e r n o

secuencial

forma que unicamente ellos

de

cualquiera

uno

circuito

en

todos

se

encuentra

los

momento.

ser2 una

no

de alimentacikn

alterna, sino que

cada

a

estar& formado por

En

corriente de

IMPULSOS

c o r r i e n t e y detensi6n. Suponiendo de

excitaci6n que

una se

f r e c u e n c icao n s t a n t e

recorrida

bobinas caso de cada

se

por

el

motor

definido

paso

se

en

como n.

cada tantos

en

este

El

desplazamiento

angular

71

-

vuelta como

que

360"

una

saltos

estatoc r ,a n t i d a d

los

impulsos

se obten&&

el

calcular& dividiendo

-

n,

bien entendido que

estara compuesta de

d i f e r e n t eesx i s t a n ha

p.p.s.,

de

una f r e c u e n c i a f

un número de bobinas

V= f/n

giro

uniforme

envian a los devanados con

y que em l o t oc ro n t i e n e velocidad de

y

obtenido

correspondiente

a

una

vuelta

el

por

360°/n. Pasemos

numero

analizar por

a

ahora

arrollamiento

de

n,

es

separado

decir, que

D=

uno

cada

de

los

EL MOTOR PASO A PASO DE IMAN PERMANENTE esta formadopor

un

modelosdefinidosanteriormente. estator

,

d

un

cilíndrica con

forma

e

a l i m e n t a d oessne c u e n c i a

crean

que

número

cierto

un

bobinados

de

giratorio

campo magndtico

demaneradiscontinua.

El

c o n c & n t r i csoo b r e

rotor

e s t a t o r syi t u a dsoo b r e

el

el

eje c o n t i e n e un i m A n f u e r t e m e n t em a g n e t i z a d oq u ee nc a d ai n s t a n t e tenderA

alinearse

a

magnbtico creado

campo

por

del estator c6mo puede o b s e r v a r s e ,s u

correspondiente bobina

ser m a s simple, ya que

de operacibn no podria fuerzas

e1

con

modo

en las

est& basado

a t r a c cdie6sna r r o l l aed in d m atosrasen e s ,

de

permanentey

la

uno

el o t r ot e m p o r a l .

y

Normalmente iman d erl o t o r

a

d i f e r e n cdi a e

es bipolar

y

los

m o t o rseisn c r o n o s ,

el

los polos n o r t e y sur se e n c u e n t r a n

del cilindro del e n los extremos o p u e s t o s de uno d e los difunetros rotor.

El número de bobinados ser de dos

tipo concreto suele

cuatro p a s o sp o r PO".

Las

que dispone an

de

cada v u e l t a ,

o

el

cuatro, con lo

ser

debido a la p r e s e n c i a del

c o n v e n i e ntteen ecn ru e n t a

algunas

W m o par deaccionamientoquepuede

imfin. S i n

que

secuencia

que

alimentaci6n

se

alcanza

puede al

doble

o b s e r veanr

bobinado

alinear c o n el campo creado, de que

ser& de

y

bajas

el

embargo, es

ofrecer este motor.

aplican impulsos con

se

obtienen

se

c o n s i d e r a c i o na ec serd c ae l

Supongamos un c i e r t o modelo c o n s t i t u i d o

los

que

y el desplazamiento angular

f r e c u e n c i adster a b a jeom p l e a d assu e l e n

p am r o t oe rlevado

estator, &s+e

1,

la el

i m h

por dos bobinados según

la

l. Cuando

la

polaridad,

figura

a

permanente

se

va

a

forma que justo e n el momento en

ambos campos se e n c u e n t r a ne x a c t a m e n t ee n

-12-

linea, el p a rm o t o r

se anulard. Si el m o t o r

esta

algún

e s t a acoplado a

ya

alcanzarse,

situacion no podra

que

ser igual al n e c e s a r ip o a rm a over

debera

la

mecanismo e x t e r n o , par

el

carga,

desarrollado por

lo

p a r sera

se formara un cierto An6ulo e n t r e los d o cs a m p o sE. s t e mAximo

cuando

excitaci4n

citado

Angulo

el

90",

de

sea

al S e g ~ n d O bobinadot2> el nuevo

pero

tanto

al

llegar

la

Angula formado sera

de

180", con lo que el p a r se anulara.

Por

tanto

lo

se

deduce que

en

un motor de

no

un p a r cuyo

ser cargadoconmecanismosquerequieran

deberanunca

tipo

este

los 45", aunque e n la p r a c t i c a

Angulo de mantenimiento que supere

se reduce este v a l o r a aproxirnadamente30"aproximadamenLe.

E l MOTOR PASO A PASO DE RELUCTANCIA VARIABLE poder conseguir

con objeto de

aumentarse de considerablemenCe e s t ap to re rsentarb generalmente que

que

forma

ciundrica

rotor

Angulo

est2

de

un

por

nucleo

tres

constitp uo i dro

y

secuencialmente

2.

un

alinie

con

B2, edl i e n t e 30"

, de

ser& el

volver

campo,

el

B2

D2 sera el maS prbximo, con

la misma forma, con diente

D3

el

y

el

Dl,

al

llegar lo

que

excitados

D2, D 3 y

D4.

recibe

la

mAs c e r c a n o

un

a

excitacidn

el

rotor g i r a r b

segundo paso de

sera a t r a i d o D4, avanzando un

- 13 -

se

la

siguiente impulso aplicado

alineado, con

la alimentaci6n a B l

B3,

que edl i e n t e

Dl;

por ejemplo,

dientes

lateral.

primer arrollamiento

alimentaci6n atraer& al rotor hasta

dulce de

se v a a s u p o n e r un motor

devanados, Bl,

el

de

de

a lo largo de s us u p e r f i c i e

r o t ocrocnu a t rdoi e n t e s ,

Cuando

hierro

de

c i e rctaan t i d a d

una

P a r a analizar s u modo deoperaci6n

figura

de tal forma

120" aproximadamenteentre cada dos

formado

talladoslongitudinalmente

El

conteniendo

habitual

un total de tres devanados distribuidos

e s t r u c t ucrial l n d r ip ca ecr o n

Ver

por este m o t i v o haya

número bobinados. de

el

la

existir& un

ellos. E l

desplazamientos angulares mAs

unos

e n el caso a n t e r i o rs, i n

reducidos que

se d e s a r r o l l 6

a

30"y

83 al

Angulo

igual a los a n t e r i o r e s .

facflmente

Como d i f e r e n c idae l

en

puede deducirse,

anterior,

este

La polaridad o s e n t i ddoe

la corriente en cada devanado imantado el r o t o s ri e m p r e

y

a

circulaci6n

de

que al no estar

es indiferente, ya se d e s p l a z a r & hasta

caso

la

posici6n en

estator sea minima.

la r e l u c t a n c i ad e lc i r c u i t om a g n k t i c od e l

angulares

P oa b rt e d an es ep r lazamientos

sera

15"

de

número dde i e n t eds erlo t o r

n e c e s a r iaou m e n t aerl

que

hasta

ocho

y

esta cifra se hard t a n t o mayor c u a n t o mAs reducido sea el a v a n c e

de cada paso. En

m td iop etoos tra oem n s bien

este

consideraciones

que

las

aplicables

sobre

han

accionamiento par de

el

sido

e x p u e s t a sa n t e r i o r m e n t e . La principal variable

es

1,200

la c i f r ad e

a

o b t i e n epn or

estos el

alineando

los

este

tipo

de

los y

rotores

tres

motor es la de

HIBRIDO,

o b t e n i e n d o siem p o r t a n t epsa r e s de p a s o sp o rv u e l t a

un

motor de combina

de

los

rotor

único.

A

PASO,

PASO

disenos

accionamiento,

se

estator,

cada

constituyendo

que

pasos

Los

bobinados de

t e r ctei r po

un MOTOR

secuencialmente.

últimos

posicionado de

Existe, por último, denominado

permiten,

a g r u p a m i evn d ate ro ci o s n j u nrt o s tor-estator,

un

alimentando

que

p a s o s por segundo.

O t r a formas c o n s t r u c t i v a para

efectuar

reluctancia

de

elevada velocidad de accionamiento

la

siendonormal

estos motores

caracteristica de

de

ambos,

un gran número

y una f r e c u e n c i a bastante e l e v a d ad et r a b a j o .

2.3.-PRINCIPIOS

B A S I C O S

TORCA DE EXCITACION :

Cuando unicamente estator

se

excitamos

los polos de

al

ro,tor

los ejes

con

de

14

corriente

magn&ticos sobre el

alinearian. Apareceria

-

una

-

esta

manera

dipecta

rotor

una

y

el

fuerza

.

, la

mnetomotriz alrededor

actuaria

cual

y

c i r c u i tm o agn&tico

del

en

la

la mAxima

f l u jaol m a c e n a r i a

el

direccidn

misma

energIa. Ahora,

<

t o r q upea raal i n e a r l o con

&ngulo,

el

decreceria. S i

desplazado

torque

cual

el

>

se

posicidn,

el

incrementaria

W m o

un

y

entonces

180" dsepuo s i c i d n

hasta

cambiando

esta

desde

de alineacidn

alcanzaria

estfi

rotor

el

es

rotor

si. el

original tal que las caras de los polos de uno y de o t r o estarian opuestas

y

la e n e r g i a de f l u j o almacenada seria minima. El t o r q u e

c epraor a

seria

posicidn con

la

M m o

torqo uc eu r r i re& v i d e n t e m e netn e

torque,

el

estator,

cual

es

resultado

es

como

referido

la posicidn

rotor

del

a p l i c a b l ep a r am o t o r e s

un

Angulo

un excitacidn

la

de

torque

o el Angulo

de

y

excitacidn

de

El

e q u i l i birni o estable.

ambos

este

90".

El

rotor

y

de

v a r icao n

6. E s tceo n c e p t o

dteo r q u e

es

de imdn permanente.

TORCA DE RELUCTANCIA : Si

el e s t a t o r

e s e x c i t a d o por una c o r r i e n t e directa p e r oe l

rotor es d et il pdoe campo

hierro dulce,

magn&tico del

estator.

Si

rotor

el

p o s i c ia dln ei n e a c i c b ee o n sln tator,

La

es

un

realinearlo nuevamente con desarrollado.

se

erlo t o r

campo

el

es

p o l a r i d add reol t o r

a l i n e a r icao n

cambiado desde

torque tendiendo estator

del

inducida por

el

el

la a seria

estator

es por, eso que las p o s i c i o n e se s t a r i a ne s t a b l e s . '.

Para mAs

grande

desarrollado

m.m.f.s dado el f l u j o

un

en

la

esta

r e l u c t a n c i a ,e s t e

posicidn

dado

y

la e n e r g i a almacenada seria

alineacidn.

de

e n t e r a m e npto er

la

Como posicidn de

es llamado t o r q u e d e r e l u c t a n c i a .

TORCA DE DETENIMIENTO

:

-

15

-

el

torque

minima

Con el m o t o r en posici6n

pasos

segundo,

por

la

es

c a n t i dtd aoed r qd uee

externa, requerida para mover detenida.

aplicada

Esta

es

medida

motor.

al

El

con

la

integridad

r e p o s o o detenimiento.

el

voltaje

sacarla de

y

la

al

motores

fuente

corriente

nominal

es paso

a

paso,

posicionamiento condiciones en de

cero

s u posici6n

de to tderen q ium e iento

los

de

una

la flecha y

c a r a c t e r i s t i ci m a p o r t a nd t ee proporciona

o en condicibn

de detenido

ya

una que

C A P I T U L O

3

S O F T W A R E 3.1.-INTRODUCCION El s o f t w a r e e s una h e r r a m i e n t aú t ip l a r ao b t e n e r de de los movimientos el

eje

x

y

>,

y

eje

<

los m o t o r e s ya

realizarlo S .i e m p rt e n e m o s

que

e n este caso movimientos e n

con

que

algunos

una

implementaron

serie

la

podemos

valiosa

ayuda

el kit. del 8031.

algunas

u t i l i z a c id 6en

la

programas

t e n eprr e s e n t e

del h a r d w a r e b r l n d a d oe nn u e s t r oc a s op o r Con

el c o n t r o l

8031

i n s t r u c c i o ndeesl

de programas

se

que

se

fueron depurando

corrforme llegaban otras i d e a s . E l primer

programa era demasiado sencillo ya era

q u e r io ab t e n e r

el

movimiento de

que

los motores

lo

que

de paso en

se

los

dos sentidos.

PROURAMA 1 : E s t e se b a s 6 en s e c u e n cpiaar a puerto

l.

la idea de

cualquier

Se

tenia

s e n t i d od er o t a c i 6 n

LOOP1 : MOV

direccibn

tecla

con

cargando en e

ir la

sacando que

acumulador

la

esta

por

el

seleccionaba

el

el

se

:

Pi, AW)H

MOV

LCALL MOV

LCALL

MOV

una

ir

Pi, #O8H SUB

Pi, #02H SUB

;MOVIMIENTO DEL MOTOR EN EL

P i , #04H

SENTIDO DE

-

17

-

L A S MANECILLAS

LULL

MOV

MOV

SUB DPTR, K7000H A, ODPTR ;TROLADOR

CJNE

A , D8, LOOP1

;fJANDO S I

MOV

EL ACCESO CONAL DE TECLADO, ESCUDRISE QUIERE CAMBIAR DE

;SENTIDO.

M O V Pi, #€)OH MOV P1, mllH LCALL

SUB

P1, m)4H ;SECUENCIA PARA

LCALL

MOV LCALL

MOV LCALL

SUB :

PREPARAMOS

MOVX

MOV

RELOJ.

Pi, #OlH

LCALL

LOOP2 :

;DEL

SUB

QUE

EL MOTOR

;SE MUEVA EN DIRECCION CON-

SUB

Pi, m)2H

A LAS MANECILLAS DEL ;RELO J.

SUB P i . 4'08

SUB DPTR, X7000H

MOW

A , @DPTR

CJNE

A , D8, LOOP2 ;VERIFICAMOS

;TIDO. SJMP

LOOP1

MOV

RO, #FFH

UN CAMBIO DE SEN-

DJNEZ RO, SUB MOV R l , #FFH

SUBl : DJNEZ MOV

SUB2 : DJNEZ MOV

SUB3 : DJNEZ

MOV SUB4 : DJNEZ

R l , SUBl

;SUBRUTINA

DE RETARDO PARALA

;VELOCIDAD DE

R2, #FFH

R2, SUB2

R3, RrFFH R3, SUB3 R 4 , UFFH

R4, SUB4

RET1

-

18

~" "

" "

LOS MOTORES.

gROQRAMA 2 :

El programa 2 es una d e p w a c i 6 n de

una

mAs

manera

para

tecla

Utilizando

6ptima

ahora

izquierda. UM

del

programa 1,

los recursos d k e li t .

seleccionar

el

a

corrimientos

empleando

Seguimos utilizando motor.

s e n t irdoe ot a c i b dn el derecha

la

e t a p a de r e t a r d o modificada ya

velocidad en promedio respondian c u e n t a a que

y

corrimientos

a

la

que aqui tomamos en

los m o t o r e s por lo

que el programa wed6 como :

LOOP1

IE,

: MOV

\

AglH

;HABILITAMOS LAS

INTERRUP-

;CIONES.

CLR C MOV

A , #08H

MOV

Pi, A

LCALL

;MOVIMIENTO DEL

SUB

MOTOR EN

RRC

A

;UN SENTIDO CON CORRIMIEN-

RRC

A

;TOS.

MOV

P1,

A

SUB

LCALL RLC

A

MOV

PI, A

LCALL

SUB

RRC

A

RRC

A

MOV Pi, A

LCALL SUB MOV

MOW

ANL CJNE

DPTR,

#7000H

;HABILITANDO EL

ACCESO AL CON-

;TROLADOR DE TECLADO.

A , QDPTR A , #lIH

A,

mlH,

LOOP1 PREOUNTA S I SE QUIERE CAMBIAR

-

19

-

SJMP LOOP2 : MOV

LOOP2

;DE SENTIDO.

IE, # 8 l H

CLR C

MOV MOV

A, #OlH Pi, A

LCALL

SUB

RLC

A

RLC

A

MOV

Pi, A SUB

LCALL

RRC

A

MOV

P1, A SUB

LCALL RLC

A

RLC

A

MOV

P1, A

LCALL

SUB

MOV DPTR, # 7 0 0 0 H MOW ANL

A, @DPTR A , RlH

CJNE

A, HlH, LOOP2

SJMP

LOOP1

SUB : MOV

R3, 47FH

MOV RTFH RO, CICLOO

:

DJNZ

RO,

Rl, A FFH

CICLOl : DJNZ

R l , CICLO1

CICLO2 : PJNZ

DJNZ

DE RETARDO

;LA

VELOCIDAD

CICLOO

MOV HOV

;ETAPA

;RESPUESTA MOTOR. DEL

R2, #FFH

R2, CICLO2

R3, CICLOO

RET

-

20

-

QUE TIENE

MAYOR

DE

PROURAMA 3 : Este programa se hizo con el fin

un sentido y en otro,estoes,

de verificar

los pasos en

se movia 19 pasos en un

e1 motor

sentido y 19 en el otro y se paraba.

Lo que observamos es que efectivamente regresaba a s u l u g a r de origen. L a diferencia maS

con respecto a

significativa

los dos

programas anteriores, e s de que e s t e no estaba ciclado sino que regresaba al cumplir s u trabajo. Otra cosa e s que ahora los datos

de

salida los obteniamos por medio

programable

<

>

PPI

de

el integrado 8255. A l

Integrado nos eliminamos el uso puerto l.El programa seriael

RAUX

EQU

una

interface periferica

estar

de un buffer

utilizando

este

para las salidas del

siguiente :

;ASIQNAMOS A RAUX UNA DIREC-

30H

;CI6N

MOV

RAUX, #OOH

MOV

DPTR, #6003H

MOV

A , *OH

MOW LOOP1 : MOV

;HABILITAMOS PARA

ENVIAR LOS

;DATOS VIA PPI

@DPTR, A IE, kY)OH C

MOV

A , m38H

LCALL

RUT

LCALL

SUB

RRC

A

RRC

A

;DESHABILITAMOS LAS INTERRLR" ;CIONES

CLR

LA SECUEN;EMPEZAMOS A DARLE ;CIA PARA EL

;MOVIMIENTO DEL

LCALL

RUT

LCALL

SUB

RLC

w

SENTIDO

A

LCALL

RUT

-

21

-

MOTOR

MOTOR EN UN

SUB

LCALL

RRC

A

RRC

A

LCALL

RUT

LCALL

SUB

M, # l 4 H , LOOP1;COMPAFU Y SALTA CUANDO EL

CJNE

RAW, m)OH

MOV

;MOTOR HALLA DADO 19 PASOS

SJMP LOOP2 LOOP2 : MOV

;DESHABILITA LAS INTERRUP-

IE, #OOH

;CIONES.

CLRC A, m ) l H

MOV

LCALL

RUT

LCALL

SUB

RLC

A

RLC

A

LCALL

RUT

SECUENCIA PARA QUE EL MOTOR

LCALL

SUB

;INVIERTA EL SENTIDO

RRC

A

LCALL

RUT

LCALL

SUB

RLC

A

RLC A LCALL

RUT

LCALL

SUB

CJNE

R5, # l 4 H , LOOP2;COMPARACION RAW, #QOH

MOV

LCALL

RUT

MONITOR RUT : MOV MOV

INC

DPTR, #6000H

;RUTINA DE SALIDA DE DATOS

@DPTR, A

R5

;INCREMENTO CONTADOR DEL

-

22

-

RET SUB : MOV SALTO :

MOV

CICLO1 : DJNZ

MOV CICLO2 :

RO, -OH Rl, #FFH;ETAPA DE RETARDO Rl, CICLO1

R2, #FFH

DJNZ MOV

R2, CICLO2

CICLO3 : DJNZ

R3, CICLO3

R4, #FFH DJNZ R4, CICLO4 DJNZ RO, SUB MOV

CICLO4 :

R3, #FFH

RET

C A P I T U L O

4

H A R D W A R E 4.1.-INTRODUCCION E s de todos conocidos dos se

que e n

herramientas intimamente conocen como SOFTWARE

la

electrdnica

ligadas una con La y

actual e x i s t e n

las cuales

otra,

este

HARDWARE. N o s o t r oesn

capitulo

hablaremos un poco del hardware. Al

*dware

lo

-

componen

usados para implementarcualquier

Para

todos

los d i s p o s i t i v otse c n o l 6 ~ i c o s

diseKo que se d e s e e .

nuestr por o y e c t o &M.$

/-

princi.palmente

por

el

cwe co A

>

c i r c u i tsoe c u e n c i a,

O v o l t s y V v o l t sr e s p e c t i v a m e n t e

o cero

un

<

el motor e s como se m u e s t r a

en la figura 1 :

De

la figura

dos embobinados se

observamos

<

lineas

tiempo

cualquiera

de

A

>,

AB y CD

frenaría. Ahora tambien,

mismo

que! nunca puede

no

estar polarizado los

en caso de estarlo el rotor

podemos

y B o C y D. Nada mAs podemos

los

cuatro

para

hilos

cada

TABLA

1.1.

SECUENCIA

.

25 .

O

1

I

O

~

.

-

..

~

I

al

un uno e n base

I

I

DEL MOTOR DE

.~ .~

En

unos

la tabla 1.1.

I

I 1

tener

tiempo.

a n t e r i o r se o b t u v o La secuencia que se m u e s t r ae n

40

con

polarizar

O

PASOS

I

a

lo

I

L sae c u e n c i a que

que se m u e s t r e an

la t a b l a n t e r i o r ,

es p a r a

el motor gire e n una direcci6n fija, para que el m o t ogri r e

en sentido contrario

la f i g u r a 2.

mAs c l a r o e n un diagrama de estados m o s t r a d oe n

FIQURA 2. DIAURAJU DE ESTADOS PARA

Para comprobar que resultados,

se

la s e c u e n c i a

construy6

s e c u e n c iPaal .r t i e nddeol

tabla

1.2

un

que

aut6mat.a

diagrama

de

con

EL MOTOR

se

x3x2 DIR

estados,

DIR

TABLA

1.2. TABLA DE ESTADOS.

-

26

-

encontr6

ayuda

de estados que seria la s i g u i e n t e :

xixo

se ve

esta s e c u e n c i ae, s t o

debemos fnvert.fr

de

daba

si la

16gica

obtenemos

una

!

O t r ar e p r e s e n t a c i b n

esta dada por La t a b l a l.

-

xixo

I-;

x3xz DIR

DIR

1000

O010

O010

O100

O100

o001

O001

1000

I

O00 1

O100

TABLA l.TABLA DE ESTADOS.

Utilizaremos

la

tabla

de

J-K,

flip-flops

excitacibn

para

para

este

implementar

flip-flop

es

la

el

aut&nata,

siguiente. v e r

tabla 2.

QCt>

-

O O

1 1

X

TABLA

2.

=

Q

J

K

O 1 O 1

O

X X 1 O

1

X X

CONDICION

DE N O IMPORTA

T A B L A DE EXCITACIdN PARA

-

J

27

EL F-F

-.

~

..

" "

TIPO J-K

Estado Sf gui e n t e

Estado Presente

DIR

O

O

0

1

O

O

1

0

O

O

1

1

O

1

0

0

O

1

0

1

O

1

1

0

O

1

1

1

1

O

0

0

1

O

0

1

1

O

1

0

1

O

i

l

1

1

0

0

1

1

0

1

1

1

1

0

1

1

1

1

I

ayuda

de

las

e n t r a d a s para los f l i p - f l o p s

I

1000

I

I

O100

TABLA 3. DIAURAMA DE Con

DIR

r x

x0 X I x2 x3 O O 0 0

tablas

28

O100

1000

1 I 1

TRANSICIONES

2

y

d e l aut6mata.

-

X

-

3

se

determinan

las

DIR

DIR JA

KA

J B KD

J A KA J B K B

J C KC J D KD

J C KC

J D KD

x x x x x x x x

~1

l x o x x l o x

x x x x x x x x

x x x x x x x x x x x x x x x x x x x x x x x x x

l

o

x

o

x

l

x

x x x x x x x x x x x x x x x x x x x x x x x x

x x x x x x x x

x x x x x x x x

x x x x x x x x

1 x x x x x x x x

x x x x x x x x

x x x x x x x x

x x x x x x x x

x x x x x x x x

x x x x x x x x

TABLA 4 . TABLAS DE ENTRADA

Aplicamos

los

mapas

de

funciones l6gicas p a r a cada entrada.

A

LOS F-F’S

Karnaugh

para

minimizar

las

xzxm

xox i

O

O0

O

O1

X

X

x

o

O1

-

DIR

DIR 10

11

o

l

x x x x

1

11

I

x Ix x l o x lx x p y x I

L

XJX

O11 0

O0 I

10

I

I

1

I

I

x Ix x Ix x / x J x

1

x x x x x x x x x x x x x x x

10

XLl x x x x f

JA

"

6

X3 X1 X0 DIR

"

+

X T X l X0 DIR

=

"

"

X3CXl X0 DIR + X1 X0 DIR>

DIR

DIR x2x3

xoxi

O11 0

O0

11

O 11 0

O0

11

0 0 x x 0 x x x 1 x : x xx x1 x o x O1 x-1 x x x x X J X ; x 4 1 X J X x x x x I

11

x+x x x x x x xix+x x x x x x x

10

o

x

*

"

-

x

x1x x

JB = X3 X 2 X1 D I R

x x 1i 0 x x x x x x x

"

+

I

I

-

X3 X1 X0 D I R

-

"

7

X 3 < X 2 X i D I R + X1 X0 D I R ) "

=

DIR

DIR

x2x3 11

O1

O0

xoxi O0

x x o x x x x41

o1

o

x x x x x

X

~

l

l

X

X

X

X

x x

x x x x p 1c,x x x x x X L X

X 4 X

X

X

10

11

O1

X

X

o

+

-

X

X

X

X

X

X

X

1 0 1 J x x x x x x x 0 x x x x x x x

JC KC

= X3 =

"

X1 X0 D I R

x-X1

+

-

"

-

X2 X1 X0 D I R

=

-

"

XlXO

dato

entonces

b id te paro vudo.

1,

SM2 es igual a

si

n o v e nbod i te

el

si

bhi a t bilitado dr e

cuando se r e c i b e a

activara SM2

comunicacibn multiprocesador

la

3. En modo

y

-

d e modo

deberi

de

-:

El puesto

2

el modo

c e r o . En modo si

"m 4 . Selecci6n

SM2

disponible en entonces

3

1

./

Frec. Osc 12 Variable 9 b i t s UART F . o s c . /64 6 F . o s c / 3 2 9 b i t s UART Variable

R e g . Corr . 8 b i t s UART

O 1 2

1 O 1

BAUD RATE

DESCRIPCION

MODO

r-ecepcibn de inici'o

el b i t

REN

es

de parada. Cuando

o

por software, egl e n e r a d od r rea z 6 n

uno

inicializado

y

la

recepcidn

habilitada.

es

cero REN

eb l it

transmisi6n

de

REN

a

puesto

debe

ser

puesto

a uno como p a r t e inicial e ne lp r o g r a m a . es

TB8

el

noveno

de

bit

dato

que

ser& t r a n s m i t i deon

modos 2 y 3 . RB8 e n

2

los modos

r e c i b i 6 . En el modo

1,

si

y

SM2

es el noveno

3,

bit

de

d a t o que

RB8 es el bit, de

es c e r o ,

se

paro que

se recibib. En modo O e s t e b i t es i n d i f e r e n t e .

El estA y

CPU es informado que la porci6n transmisora de

v a c i a o que

la p o r c i b n receptora

RI r e s p e c t i v a m e n t e . TI

o c t a vb o ietn

modo

O,

o

otros modos de transmisi6n.

a c t i v a d a por

hardware

la mitad del

bit

de

al

p a reon

es

e s t i llena

por

activado por hardware

een clo m i e n zdoe l

Debe ser borrada por find

del 10:s

-

41

octavo otros

-

bit,

modos

medio de TI al

bit

en

SBUF del

final

p a reon

los

s o f t w a r e . RI

es

de

modo

cero, o

de recepci6n.

a

Debe

b o r r a r s ep o rs o f t w a r e . Ya que TI y

requisito

de

interrupcibn

polledparadeterminar A

RI se

manipulan c o n j u n t a m e n t ep a r a del

puerto

serial,

estos

generar un

deben

ser

t i e m p op s ara

la

la f u e n t ed ei n t e r r u p c i 6 n .

continuaci6n se m u e s t . r a el diagrama de

t r a n s m i s i 6 n y la recepci6n.

-

42

-

WRITE TO

SBUF

1 PATA

1

I

DIAGRAMA W TlEWOS D E UNA TRANSHISION SERIAL

"

"

1

ENTRE 16 RESET

PULSOS DETECTORES

n

Ri

DIAGRAMA DE TIEMPOS DE UNA RECEPCIOIU SERIAL

4.3.6.- 8 A U D En

RA T E 8031,

el

baud

rate

en

los

modos

1

y

3

es

La r a z d n de s o b r e f l u j o del timer 1 y p o r el valor

determinado por de

el

SMOD como s i g u e : BAUD

RATE

2 SMOD

X

.c

CRazdn s o bdree f l u j o

Ti>

32

este

Para interpupclones

1

del timer

como generadorde

caso el baud

p dreosdp he6 abs beiitl0 l t,a r s e l. El modo

m&s t i p i c o

las para usar el timer

baud rate e s el modo de auto-recarca. En este

rate est& dado por :

-

43

-

>

4.4.- INTERFACE PROGRAMABLE PARA PERIFERICOS C P P I I N T R O D U C C IO N

4.4.1.-

El

8255 es un d i s p o s i t i v od e usarse

generd a li s e b dpoa r a

8080.

24

C u e ncto an

con

como

un

conjunto de

8

<

1 >

modo

grupos

como

El

l i n e adseen t r a d a s

o

tercer

modo

modo

C

8

12

cada grupode ser e n t r a d a s

para

y

principales o

cada grupo

permitp e rogramar

un bus bidireccional de

una d e lo t r og r u p o ,

4

de

salidas y

de

las

4

y semes de c o n t r od le

restantes, tres s o n usadas p a r ap r o t o c o l o interrupciones.

>

8008

programados

tres

uys a d oesn

ser p r o g r a m a d e og nr u p o s

salidas. El segundo modo

ser

pueden

En el primer modo C modo O

modos de operaci6n.

Uneas puede

que

12

individualmente en dos grupos de

microprocesadores

los

EIS

pid ne s

programable de prop6sito

E 6

2

>

bits

programa

y

uno

Uneas,

S

de

los

tomando

se usan p a r a s e m e s deprotocolo.

4 . 4 . 2 . - C A R A C T E R I S T I C A SG E N E R A L E S l>24 lineasprogramablesde

2) Completamentecompatible

Ea. con TTL.

3> Completamentecompatible

con las familias demicroproce-

s a d o r e s 8 y 80. 4 > Capacidaddeprogramaci6n

caracteristicas

Otras

programar

sus

proporcionar

una

linea, permitiendo p a r aa p l i c a c i o n e s

l i n eea ns

8255

del

incluye

individual

forma un

c o r r i e ndtee manejar

b i tp o rb i t .

y

miliampere

la

capacidad de

la

habilidad

a

1.5

v o lpto sr

d i r e c t a m e nt trea n s i s t o rdeasr l i n g t o n

como i m p r e s o r a s y displays d e a l t o voltaje.

4 . 4 . 3 . - D E S C R I P C I O NF L l N C I O N A t

-

44

-

de

es

8255

El

disefbda p a r a

una

usarse

en

microcornputadoras

p a r ian t e r f a s a e rq u i p o perif6rico con configuraci6n que

manera

funcional

del

normalmente

8255

no

es

se

de

<

P

en

P

I

>

la familia

prop6sit.o general la

familia

80. L a

p r o g r a m a dpaosro f t w a rdee

necesita

ibsica

externa

para

ellos.

BUFFER

4.4.3.1.-

B u f f ebri d i r e c c i o n at x l -iestado 8255

basadas

b ud se

el

o e s t r u c t u r a sd e

i n t e r f a s a rp e r i f k r i c o s

perifbricos

un componente E / S

es l a de

80. Sfuu n c i 6 n

para

Interface

con el b u s

el

r e c i b i d opso r entrada

o

de

datos

usado para la ejecuci6n de

b u f f em r ediante

salida

estado Cambien s o n t r a n s f e r i d o s

informaci6n de

o

intrucciones de

palabras

microprocesador. Las

del

el

datos son t r a n s m i t i d o s

CPU. Los

del

interfasar

dceo n t r o l

e

a trav&s de este

4.4.3.2.- LOGICA DE CONTROL DE LECTURA/ESCRITLJRA La

funcidn

t r a n s f e r e n c i a si n t e r n e s estados

y

de

bloque

este

-jar

es

todas

contro Alc. e petn a t r a dparso v e n i e n t e s

proporcionacomandos

las

y externas de p a l a b r a s ya sean d a t o s o de

t r a v 6dsebludsdei r e c c i o n e s

y

el

del

de

control

,

para los bloquesdecontrolde

y

en

CPU

,

a

respuesta

ambos grupos.

4.4.3.3.-CONTROL DEL GRUPO A Y CONTROL DEL GRUPO 8 L a configuracibn funcional de por de

el s o f t w a r e

control

modo,

i 4

buffer.

al

puesta

cada p u e r t o

del s i s t e m a . En e s c e n c i a

el

CPU

es programada

envia una palabra

8255. Esta palabra contiene irrformacidn a

uno

de

b iptu, e s t a

-

45

a

-

cero

tal de

bit

como

etc,

:

que

inicializa

COnfig~maCi6n del

la

c o n t r oalc e p t a palabras

comandos

a

dceo n t r o l

8255. bloque

del

traves

uno

de

recibe

16gica de control,

de

y

del b ui sn t e r n o

proporciona

Controldelgrupo

A : P u e r t o A y p u e r t o C alto

c7

a

c4

Controldelgrupo

B : P u e r t o B y p u e r t o C bajo C c3

a

c0

El

registro

solamente

d co entrol

MODO

O

entrada

C

.

puertos

No

informaci6n simplemente especificado

puede

<

ser

>. >. No

escrito.

DE OPERACION salida

/

p r o p o r c i o noap e r a c i o n essi m p l e s

los

los

lectura e n 61.

estA permitida la operaci6nde

4.4.4.- MODOS

de

los bloques

sus p u e r t o sa s o c i a d o s .

comandosadecuadospara

de

Cada

. Las

entrada

de

se

bkica

requieren

es

>.

E s tcao n f i g u r a c i 6 n

salida p a r a

semes o

escrita

protocolo,

de

leida

cada uno

en

la

puerto

el

'

caracteristicas b k i c a s del modo O s o n :

l>Dos p u e r t o sd e

8 bits y

2> Cualquierpuertopuede

2

p u e r t o s de 4 b i t s .

ser e n t r a d a o salida.

3) L a salida cuentanconLatch. 4 > L a se n t r a d a sn oc u e n t a nc o nl a t c h .

5) Hay 16 c o n f i g u r a c i o n e sp o s i b l e se n MODO proporciona hacia

un

1

<

E/S

>.

p co ro nt o c o l o

un medio dter a n s f e r e n c i a

de

Ecsotn af i g u r a c i 4 n

datos

de

1

del p u e r t o C p a r ae n v i a r

E/S

desde

sef5ales

p u eerstpoe c i f c i coean n dj o ucnotno

p r o t o c o l o . En el modo

el p u e r t ' o A

o de

y el p u e r t o B usan las lineas

o r e c i b i r estas sef'lales d e p r o t o c o l o .

L a s c a r a c t e r i s t i c a s b-icas

del modo 1 son:

l>2 grupos C grupo A y grupo B

2>

este modo.

Cada g r u pcoo n t i e n e

>.

un p u e r t o

-

46

-

de

datos

de

8

bits

y

un

p u e r t od ec o n t r o ld e 3)

El p u e r t od e

8 b i t s puede ser e n t r a d a o salida.

4) T a n t oe n t r a d a s 5)

El

puerto

p u e r t od e

2

MODO

proporciona de

modo

bits

4

de

es

< 8

el f l u j o l. Tambien

funcionesdehabilitacibn

y

p a rcao n t r o l

usado

bidireccionai bus

estado

>.

c Eosn t af i g u r a c i b n

un

perifkrico

y

b i tp s a r tar a n s m i s i b n

La

datos a t r a v O d s el

de

se

dispone

de

y deshahilitacibnde d e l modo

l>Usado e s c l u s i v a m e n t ee n

del

<

travbs

de

datos. disciplina

forma similar

interrupcibn

de

y

Las mismas.

2 son:

el grupo A.

Un p u e r t o bidireccional d e 8 b i t s c o n t r o l de 5 b i t s

bus

sew

a

recepcibn de

protocolo de para mantener

L a s c a r a c t e r i s t i c a s b-icas

2)

latch.

8 bits.

semes

apropiade an al

como salidas c u e n t a nc o n

un medio de comunicacidn con

un solo bus de

Proporciona

4 bits.

puerto C

<

puerto A

>

y

un b u s de

>.

3> L a s e n t r a d a s y salidas: c u e n t a nc o nl a t c h . 4>

El

puerto

p u e r t od e

de

5

bits:

es

8 bits.

-

47

-

usado

i

4

para

control y

estado

del

4.5.-ETAPA

P O T E N C I A

D E

su

Hemos estado hablando mucho d e la s e c u e n c i a y pero no se ha dicho nada de

control

porque no es p o s i b lceo n e c t a r

de

manera directa la s e W que nos envia el PPI ?.

El es

es

porque

un

de explicar, esto se debe, a que el PPI

f*il

dispositivo

una alta impedancia

d i s p o s i t i v opsr e s e n t a n

5 volts

paso no t r a b a j a n con

(1

de

tales lo

salida, p o r

16gico> es

que

16gico pensar

la del c i r c u i t o d i ~ i t a l ,e s t o nos

lleva a la irnplementaci6n

un c i r c u i t o de p o t e n c i a que sirve? como e t a pa m p l i f i c a d o r a de

sistema

digital

simplemente

se

la c o r r i e n t e y usar un f u e n t e d e poder ext,erna

n e c e s i t aa u m e n t a r

motores

que

y sabernos que los motores de

e n t r e g a n una c o r r i e n t e muy peque-,

a

CMOS,

fabricado t ecconno l o g i a

esta

paso,

y

una

los m o t o r e s fuente

como

e t a psai r v e

de

de

voltnJe

no

a los

i n t e r m e d i a r ieon t r e

paso.. La

fuente

variable

de

de

de

poder

el es

alimentacidn

para los m o t o r e s ,

Los

utilizades

motores

para

el

graficad t ioern e n

las

s i g u i e n t e s caracteristicas : CORRIENTE NOMINAL

: 0.6 Amps

RESISTENCIA INTERNA : 9.5 Ohms

De La ley de

Ohm

< V

.L

R I > puede

verse

que

nominales : Vnom

=

<

9.5 Ohms

><

0.6 A m p s

>

5.7

Volts

el v o l t a j e

Tenemos que

+

Vt

VR

lados de la ecuacionporL

queda di/dt

se

E setcau a c i d n iferencial

,

variables

es

esto

c o r r i einntiec i a l

+ Ldi/dt = 0 + Ldi/dt = O

rii

(.

=

di/i

(VoLtaje

resuelve

i < t > y d e s d e un tiempo to = tiempo iniciaL

i sea

T

=

=

L

Io e

/

Qraficando

por

= 0,hasta un

1

ver

C

figura 5

comportamiento en el tiempo del c i r c u i t o L

que

existe

siguiente bobina

se

un

tiempo

pukso,

este

de

T

tiempo

, se

mknimo

espera es

descargue. Evaluando

i g u a l e s a 3, 4, y 5

minimo

el

Io

corriente

tiempo t

como :

>

observamos

[-[

n e c e s a rp i oa r a necesario

con

47

51

O -0183

O . 0067

TABLA 1.

-

50

-

el

R nos damos c u e n t a

para

v a l o rdees

o b t i e n e n los s i g u i e n t e s resultados.

3T



/

ecuaci6n

la

t i

una

hasta

l o que l a e c u a c i 6 n queda

ecuaci6n

la

Io

- (ri/t)t. ,

ri

separacide n

por

-ridt/L:Integrando desde puLro/ri+xL>

deL

.Dividiendo ambos

dar

el

que

la

t

E1

valor

de

inductancia

la

un

forma experimental, mediante

XL

xc

'

" " " "

+ xc

XL

m

LS c

"""".""" LS

de

circuito

1

/cs >

+ c

1. / cs

se

bobina

la

encontr6

en

tanque.

>

Factorizando obtenemos lo s i g u i e n t e

""_LS

"

LCS

2

+ 1 Sabemos que para l a

resonanc i a se 1 - w 2 L C debe decumplir que 2 = a0 ,para lo a n t e r i o r hacemos que el d i v i s o r sea n u l o , e s t o es,

" " " " " "

c u m p l i rc o n 1 -

o 2 L C

=

que es igual a t e n e r w

O,

L

2

C

=

1 ,

de

aqui

obtenemos

L =

1

,

" " " "

y a que ut

= 2

nf

,

sustituyendo

0 2 c

I

1

1

Hacemos observar

un

barrido

de

frecuencia

con

el

generador

en un osciloscopio la resonancia del c i r c u i ttoa n q u e .

este momento s e mide

La frecuencia conocido, o b t e n i e n d o s ee l v a l o r d e L,.

-

51

-

y

C

es

un c a p a c i t o d re

hasta

En valor

se miden ambas y

Cada m o t otri e n d e ob sobinas,

un valor promedio. Con este valor se obtiene

igual a L / r.

T

ullg

se obtiene

De la t a b l a 1 o b s e r v a m o s que en ST casi se ha d e s c a r g a d o la

del pulso es de t.

bobina, e n t o n c e s el tiempo mínimo

se logra disminuir

Si

,

T

se

=

57.

puede aumentar

la f r e c u e n c i a

de t r a b a j o y e s t o se lograría aumentando el valor

de ri y a que L

no se puede disminuir. E s por 0so que se agrega una r e s i s t e n c i a en

serie

con

la

bobina

r e s i s t e n ci n i at e r n a

del

del

motor,

un

motor

con

con

esto

la

valo i gru a l

a

queda

T

de

la la

s i g u i e n t e forma :

debido a

lo a n t e r i o r , la ecuacibn 1 se transforma en :

anterior o b s e r v a m o s que si ponemos una r e s i s t e n c i a igual a

de

lo

la

i n t e r nean

doble

serie

siempre

que

con

el

la bobina, se

volLaje

que

aumenta entrega

se

frecuencia

la al

motor

al

CVrnotor)

cambie de acuerdo a la siguiente ecuacibn:

Ri Vmotor

+

RS

v

-

""_

- c2 >

Ri donde

V

P

If

RL

C 0.6 A

> <

9.5 o

> =

5.7 V

para a s í c o n s e r v a r e l m i s m o valor d e c o r r i e n t e de estado en

mot.or.

el

fimite

de

t&cnica

S610

habrfi

que

voltaje permitido para de

r e s i s k e n cei a n

vigilar,

que

el motor

de

.serie funcione

-

52

.-

no

se

sobrepase

paso. P a r a

debemos

estable

que

e!

est.

respetar

I

siguiente desigualdad 5 1

+

Ri

RE: 5 50

Ri

-

53

".

C A P I T U L O

5

D I S E N M O E C A N I C O 5.1-INTRODUCCION La ingeniería construcci6n

proyecto

de

tiene

mecAnica siempre est& presente

cualesquier

una

dispositivo

actuaci6n

propordon6 las piezas

muy

e n el diseKo y

electrbnico.

relevanLe, ya

En

que

nuestro

esta

nos

que se usaron en el plotter. El diseno en

ri de las piezas se muestra en los dibujos d e l anexo A.

-

54

-

B I B L I O G R A F I A ELECTRONICA.

PRACTICA

EDICIONES NUEVA LENTE. IU". 44. TESIS : DISERO Y CONSTRUCCION DE UN CONTROLADOR DIQITAL POR COMPUTADORA DE UNA PINZA MECANICA.

POS. X628. CBI-AZC.

TESIS : CONTROL DIGITAL BIDIRECCIONAL DE UNA MESA DE PROPOSITO UENERAL. QONZALO

ARELLANES MEDINA. POS. X1481. CBI-AZC. INTRODUCTION TO SWITCHINQ THEORY COQIGLu. D

&

W . F r e d r i c k J. H i l l and

Qerald R. P e t e r s o n . Edit. John Wiley

6t

Sons. rd. T e r c e r a . z@S91. ".

E l libro d e l t . Richard Rawson. E d i t . Qustavo Qili, S A Barcelona 1986.

.

CompuCer-controlled

XY plotter. January 1984. March 1984. "

Quick on the d r a w : INEXPENSIVE PLOTTERS

Forrest M . M i m s 111.

- 56 -

".

R E F E R E N C I A S

1. BYTE.

Vol. 13. # 13. December 1988

" P l o t t e r in perspective". Stanford D i e h l amd S t e v e Apiki.

2. COMPUTER AND p.p 243-266. Spiros

a.

ELECTRONICS

'Stepping

Papaioannou.

- S8 -

ENQINNERS. Vol 17.

Motors-A

Review".

Get in touch

Social

© Copyright 2013 - 2024 MYDOKUMENT.COM - All rights reserved.