DEPARTAMENTO DE ELECTRÓNICA I.T.Telecomunicación Sistemas Electrónicos

DEPARTAMENTO DE ELECTRÓNICA I.T.Telecomunicación Sistemas Electrónicos ASIGNATURA APELLIDOS,NOMBRE Microelectrónica SOLUCION 1 2 FECHA Nº Lista 3

8 downloads 277 Views 193KB Size

Recommend Stories


Análisis y Diseño de Sistemas Departamento de Sistemas - Facultad de Ingeniería
Análisis y Diseño de Sistemas Departamento de Sistemas - Facultad de Ingeniería DESARROLLO DE SOFTWARE - ESTUDIO DE FACTIBILIDAD Objetivos: 1. Determ

DEPARTAMENTO DE SISTEMAS DE INFORMACION Academia de Programacion PROGRAMA DE ESTUDIOS
DEPARTAMENTO DE SISTEMAS DE INFORMACION Academia de Programacion PROGRAMA DE ESTUDIOS profundizando en conceptos de lo que es la programacion estruct

UNIVERSIDAD DE ORIENTE NÚCLEO DE ANZOÁTEGUI ESCUELA DE INGENIERÍA Y CIENCIAS APLICADAS DEPARTAMENTO DE SISTEMAS INDUSTRIALES
UNIVERSIDAD DE ORIENTE NÚCLEO DE ANZOÁTEGUI ESCUELA DE INGENIERÍA Y CIENCIAS APLICADAS DEPARTAMENTO DE SISTEMAS INDUSTRIALES “ANÁLISIS DE LOS RIESGOS

remotos. Departamento de Ingeniería de Sistemas y Automática Facultad de Ciencias Universidad de Valladolid
Desarrollos de laboratorios virtuales/remotos Departamento de Ingeniería de Sistemas y Automática Facultad de Ciencias Universidad de Valladolid Int

DEPARTAMENTO DE SISTEMAS DE INFORMACION Academia de Entornos Graficos y Virtuales PROGRAMA DE ESTUDIOS
DEPARTAMENTO DE SISTEMAS DE INFORMACION Academia de Entornos Graficos y Virtuales PROGRAMA DE ESTUDIOS 1. 2. 3. 4. Nombre de la materia Clave de la m

UNIVERSIDAD DE ORIENTE NÚCLEO DE ANZOÁTEGUI ESCUELA DE INGENIERÍA Y CIENCIAS APLICADAS DEPARTAMENTO DE SISTEMAS INDUSTRIALES
UNIVERSIDAD DE ORIENTE NÚCLEO DE ANZOÁTEGUI ESCUELA DE INGENIERÍA Y CIENCIAS APLICADAS DEPARTAMENTO DE SISTEMAS INDUSTRIALES “EVALUACIÓN DE LOS RIESG

Story Transcript

DEPARTAMENTO DE ELECTRÓNICA I.T.Telecomunicación Sistemas Electrónicos ASIGNATURA APELLIDOS,NOMBRE

Microelectrónica SOLUCION 1

2

FECHA Nº Lista 3

Cuestión 1

4

5

Junio - 2009

6

7

T

(10 ptos)

Nota: las respuestas incorrectas, restan 1/3 de la puntuación. a. En las pastillas VirtexII de Xilinx existen bloques de memoria denominados BRAM, ¿qué capacidad de almacenamiento tiene cada uno de ellos?

18 kbit

b. Qué significa BIST.

Built-in Self Test

En el proceso de fabricación de chips. c. Enumere los pasos de la implementación de un CI tras haber realizado la síntesis del diseño.

Wafer Preparation Photolithography Etch Cleaning Thin Films Ion Implantation Planarization Test and Assembly

d. Indique para qué sirve la implantación de iones.

Es el proceso de dopado del silicio.

e. Existe un proceso denominado “Etch”, ¿qué proceso le precede y qué proceso le sigue?

Photo-Lithography => Etch => Cleaning

1/9

Microelectrónica. ITT-SE

Cuestión 2

Junio 2009

(10 ptos)

Codifique un procedimiento Cont1 dentro de un paquete MM para que sea usado en la generación del siguiente cronograma correspondiente a un contador dentro de un testbench. Sus parámetros son los siguientes: - una constante de 4 bits, que define el salto de la cuenta (cronograma = 2) - una señal de entrada que indica el valor inicial de partida del contador (4, dada en 6 bits). - una señal de entrada que indica el valor máximo que puede llegar a tener el contador (dada en 10 bits). - una señal de salida (10 bits) con el valor de cuenta. Los valores se van proporcionando en cada flanco descendente de la señal de reloj clk. Cronograma:

SOL:

procedure cont1 ( constant N : in unsigned(3 downto 0); signal INI : in unsigned(3 downto 0); signal MAX : in unsigned(9 downto 0); signal S : out unsigned(9 downto 0) ) is variable aux : unsigned(S'range); begin -- cont1 aux := (others => '0'); aux(INI'range) := INI; S sel_i, q => q_i); process file res : text open write_mode is "results_conversion.txt"; variable buf : line; variable aux : std_logic_vector(N-1 downto 0); begin sel_i

Get in touch

Social

© Copyright 2013 - 2024 MYDOKUMENT.COM - All rights reserved.